마이크로프로세서응용

(2024년 1학기 개설)

마이크로프로세서의 구조와 프로그래밍에 대한 내용을 중심으로 마이크로프로세서를 이용한 시스템 설계에 필요한 지식을 교육한다. 마이크로프로세서의 하드웨어, instruction set, 각종 주변 장치, 인터럽트 등에 대한 내용을 교육하며 이와 관련된 예제들을 실습한다.

교육 목표:
1. 마이크로프로세서의 구조 및 주변 장치에 대한 이해
2. 마이크로프로세서 프로그래밍에 대한 교육

평가: 중간 시험 35%, 기말 시험 35%, 실험 보고서 20%, 출석 10%
선수과목 : C언어 프로그래밍, 디지털논리회로

교재:
ATmega128_Manual.pdf
이 교과목에서는 ATmega128 실습 키트를 이용하여 실습을 진행합니다. 실습용 보드가 장착된 브레드 보드에 필요한 주변 회로를 구성한 실습용 키트를 사용합니다. 또한 source level debugging 이 가능하도록 JTAG debugger를 이용하여 실습을 진행합니다. 마이크로프로세서를 이용한 개발 시 디바이스의 매뉴얼을 읽고 이해하는 능력이 중요합니다. 이와 같은 능력 함양을 위하여 본 교과목에서는 일반 교재를 사용하지 않고 ATmega128의 데이터 매뉴얼을 교재로 대신합니다.

참고문헌:
ATmega128 한글 자료

마이크로콘트롤러 시장 최신 뉴스1
마이크로콘트롤러 시장 최신 뉴스2

실습 보고서 양식:report_form_Kor.docx
보고서는 보고서 제출이 명시된 실습에 대해서만 제출하며, 실습이 완료된 후 1주일 이내에 한양대학교 LMS로 제출하십시오. 실습은 팀원과 함께 하여도 보고서는 각자 작성하여 제출해야합니다. 팀원과 보고서의 내용이 같을 경우 인정이 안되거나 감점이 있을 수 있습니다. 보고서는 MS Word 파일로 제출하고, 파일명은 Lab번호_이름.docx로 하십시오. Appendix에는 소스 코드를 첨부합니다. 사진 등을 많이 첨부해서 용량이 클 경우 용량을 줄여서 가급적 5M 이내의 크기로 보내십시오. 위의 규칙을 지키지 않을 경우 제출하지 않은 것으로 간주될 수 있습니다.


주별 강의계획
실험실: 4공학관 515호 전자공학부 IC-PBL 실습실

Week

강의 및 실습 내용

자료

1

Introduction to Microcontrollers
LAB1: Microchip Studio, Led & Key

실습실에 오기 전에 홈페이지에 게시된 실습 자료를 다운로드 받아서 USB메모리에 저장하여 가져오기 바랍니다.

Microcontroller.pdf
MicrochipStudio설치.docx
Lab1.zip
보고서 없음

2

AVR ATmega128 Introduction
LAB2: Debugging, Memory access, Toggle Key

AVR.pdf
Lab2.zip
보고서 제출

3

AVR C Programming Language
LAB3: Seven-segment Display

AVRC.pdf
Lab3.zip
보고서 제출

4

ATmega128 I/O Ports
LAB4: Character LCD

IOPorts.pdf
Lab4.zip
보고서 제출

5

Interrupts
LAB5: Character LCD using Memeory Mapped I/O

Interrupts.pdf
Lab5.zip
보고서 제출

6

8bit Timer/Counter
LAB6: Interrupt

Timer.pdf
Lab6.zip
보고서 제출

7

16bit Timer/Counter
LAB7: Stopwatch

Lab7.zip

8

중간 시험
TBA

TBA

9

Assembly Language Programming
LAB8: Assembly Language Programming 1

Assembler.pdf
AVRInstructionManual.pdf
Lab8.zip
보고서 제출

10

Assembly Language Programming
LAB9: Assembly Language Programming 2

Lab9.zip
보고서 제출

11

AD 변환기
LAB10: ADC & PWM

Analog.pdf
Lab10.zip
보고서 제출

12

시리얼 통신
LAB11: Serial Port

Serial.pdf
Lab11.zip
보고서 제출

13

Introduction to IoT
LAB12: DHT22 Temperature and Humidity Sensor

IoT.pdf
Socket.pdf
Lab12.zip

14

Introduction to Embedded Software Engineering
LAB13: Serial WIFI를 이용한 IoT 장치

SoftwareEngineering.pdf
Lab13.zip
보고서 제출

15

Introduction to Arm Cortex-Microcontrollers

Cortex-M.pdf

16

기말 시험
2023년 6월

TBA